发布于 1年前

quartus编译报错:Error (176310): Can‘t place multiple pins assigned to pin location Pin_F16 (IOPAD_X34_Y1

整体错误信息:

Error (176310): Can't place multiple pins assigned to pin location Pin_F16 (IOPAD_X34_Y18_N21) Info (176311): Pin VGA_VS is assigned to pin location Pin_F16 (IOPAD_X34_Y18_N21) Info (176311): Pin ~ALTERA_nCEO~ is assigned to pin location Pin_F16 (IOPAD_X34_Y18_N21)

在FPGA的原理图中看到:PIN_F16既作为模块IO引脚使用,同时也被nCEO用到。

解决方案:

在Quartus中点击Assignments—— Device——Device and Pin Options——Dual-Purpose Pins

在nCEO中选择Use as regual I/O

相关文章

©2020 edoou.com   京ICP备16001874号-3